LIVE WEBINAR: Universal VHDL Verification Methodology (UVVM) – The standardized open source VHDL testbench architecture (US)

Presenter: Espen Tallaksen, Founder of Bitvis

Thursday, April 26, 2018

11:00 AM – 12:00 PM PDT

Abstract: 

The European Space Agency (ESA) is currently sponsoring further development of UVVM.

For an FPGA design we all know that the architecture – all the way from the top to the micro architecture – is critical for both the FPGA quality and the development time. It should be obvious to any experienced designer that this also applies to the testbench.

Most FPGA designs are split into stand-alone modules – for instance for each of the FPGA external interfaces. In VHDL these modules are VHDL entities, and they are normally accessed from a CPU via a standardized register interface, which acts as an abstraction layer. This abstraction allows a safe and efficient control of the complete FPGA.

Such an approach should also be used for the verification environment - to simplify the testbench architecture and the control of the interfaces. This way the verification structure will mirror the design structure, allowing the best possible overview, readability, maintainability and reuse.

There is however nothing even close to a standard on how to build the testbench architecture, how to access the verification components, how to control them, or how to extend them for more complex functionality.

UVVM provides a very simple and powerful solution for all of this - and has in fact standardized the testbench architecture, the microarchitecture of the verification components and their command/status interface, a set of common commands, debugging support, etc.

This makes it possible for the whole VHDL community to make verification components that have the same architecture, can be integrated the same way, debugged the same way and controlled the same way. Thus, verification components may be shared easily within the VHDL community, allowing designers to build their own test harness much faster than ever before – using a mix of their own and 3rd party VHDL verification components. 

Agenda: 

  • Where is time spent and wasted
  • Basic testbenches using a good infrastructure
  • Mirroring the design architecture
  • Testbench, test harness, verification components
  • Test cases and sequencers, transactions and synchronization
  • Randomization and Functional Coverage
  • The ESA extensions
  • Live demo
  • Conclusion
  • Q&A

Presenter Bio:

Espen Tallaksen

Espen Tallaksen is the founder of Bitvis, an independent design centre for embedded software and FPGA. He graduated from the University of Glasgow (Scotland) in 1987 and has 30 years’ experience with FPGA and ASIC development from Philips Semiconductors in Switzerland and various companies in Norway, including his earlier founded company Digitas.

During twenty years Espen has had a special interest for methodology cultivation and pragmatic efficiency and quality improvement. One result of this interest is the UVVM verification platform that is currently being used by companies world-wide.

He has given many presentations and keynotes on various technical aspects of FPGA development. He is also giving courses on how to design and verify FPGAs more efficiently and with a better quality.