LIVE WEBINAR: Constraint Random Verification with Python and Cocotb (EU)

Philipp Wagner, Co-maintainer of cocotb and Hardware/Software Engineer at lowRISC

Thursday, November 4, 2021

3:00 PM - 4:00 PM (CET)

Abstract:

Testing digital hardware has never been an easy job, and it won’t get easier any time soon. But that doesn’t mean writing test code can’t be enjoyable and productive! Cocotb, an approach to use Python as verification language, is bringing the joy back to verification. It allows developers to start with small, directed testbenches, and evolve them into more thorough constraint-random tests. Much has been said in the past about directed tests and system-level tests with cocotb. In this talk, we’ll explore how to design more advanced constraint random testbenches. We’ll look at the different approaches for constraint random verification in cocotb and how you can turbocharge your next cocotb test problem!

 

Agenda: 

  • A very quick cocotb introduction
  • What is constraint random verification?
  • How to use constraint random with cocotb: different approaches
  • A look at code examples

Presenter Bio:

Philipp Wagner

Philipp Wagner is co-maintainer of cocotb and Hardware/Software Engineer at lowRISC in Cambridge, UK, where he is involved in OpenTitan, the first Open Source Root of Trust chip. For many years Philipp has been working on the intersection of digital hardware, software and open source. He is passionate about developer productivity, communities, and bridging the gap between hardware and software development methodologies. Philipp is Founding Director of the Free and Open Source Silicon Foundation, and has received a M.Sc. and PhD (Dr.-Ing.) degree in Electrical Engineering from Technical University Munich, Germany.

 

Legal | Privacy | ©2021 Aldec, Inc. All Rights Reserved.